Turn off the Ad Banner  

To print: Select File and then Print from your browser's menu.

    -----------------------------------------------
This story was printed from CdrInfo.com,
located at http://www.cdrinfo.com.
-----------------------------------------------

Appeared on: Monday, November 24, 2014
ASML Says First EUV Production Systems Will Be Ready in 2016

ASML, the world’s largest suppliers of chipmaking equipment, says that its latest hihg-end equipment for EUV lithography will be deliverd soon and will be ready for full-range production in 2016.

The semiconductor industry has been aggressively pursuing transistor scaling to deliver improvements in performance, size and power consumption, which in turn makes possible new applications and more attractive consumer devices and services, fuelling overall industry growth. In short, the industry expects Moore's Law to continue to drive the industry in the coming ten years.

EUV (Extreme Ultra-Violet) lithography is one of the keys to the future of chipmaking, as it is the key technology that will allow tiny transistors to be "printed" on a wafer. The industry is currently using the so-called multi-patterning technique to to the job but ultimately what it really needs is the new tool for EUV lithography.

During an investor day in London earlier today, ASML announced that Taiwan Semiconductor Manufacturing Company (TSMC) has ordered two NXE:3300B EUV systems for delivery in 2015 with the intention to use those systems in production. In addition, two systems already delivered to TSMC will be upgraded to NXE:3350B performance. TSMC aims at a mid-node insertion of EUV at the 10nm logic node in late 2016.

ASML has been trying to increase the power of the light source, and therefore the throughput, of EUV to make it competitive with the existing Deep UV (DUV) scanners. ASML's currently shipped NXE:3300B systems have been run with a source power of 80 watts, which is sufficient to process about 500 wafers per day. ASML said it has a roadmap to reach 125 watts and 1,000 wafers per day next year and 250 watts and 1,500 wafers per day - the level required for real volume manufacturing - in 2016.

ASML expects its Deep-UV immersion systems to be used for patterning of multiple layers in all advanced processes for the forseeable future. The company says that
EUV will enable cost effective manufacturing of logic, DRAM and NAND chips from 2016/2017 allowing feature size shrink and simplification of manufacturing processes.

The company also said that if things go as planned, it expects to ship 50 to 60 EUV systems per year by 2020, generating more than $12 billion in revenue.

Intel has already said EUV won’t be ready in time for its 10nm node, and during its own investor day last week, the company said it could continue to increase transistor density and reduce cost at 7nm even without EUV. But ASML still that Intel will use EUV for 7nm production starting around 2018.



Home | News | All News | Reviews | Articles | Guides | Download | Expert Area | Forum | Site Info
Site best viewed at 1024x768+ - CDRINFO.COM 1998-2024 - All rights reserved -
Privacy policy - Contact Us .