Tuesday, April 16, 2024
Search
  
Thursday, September 2, 2010
 GLOBALFOUNDRIES Lays Out Path for Sustained Technology Leadership at 28nm and Beyond
You are sending an email that contains the article
and a private message for your recipient(s).
Your Name:
Your e-mail: * Required!
Recipient (e-mail): *
Subject: *
Introductory Message:
HTML/Text
(Photo: Yes/No)
(At the moment, only Text is allowed...)
 
Message Text: At today's inaugural Global Technology Conference, GLOBALFOUNDRIES revealed the latest details of its progress in technology development for the 28nm technology generation and beyond.

The company announced the addition of a new technology offering based on its 28nm High-K Metal Gate (HKMG) technology and revealed for the first time its manufacturing timeline for the 22/20nm node.

In addition,the company announced it has taped-out a qualification vehicle based on the ARM Cortex-A9 dual processor, an industry first on 28nm High-K Metal Gate (HKMG) technology.

GLOGALFOUNDRIES also announced plans to bring a new class of thin film storage (TFS) flash memory products to market on 90nm technology. The advanced technology is expected to be deployed in Freescale microcontrollers (MCUs) targeted for applications ranging from consumer electronics and household appliances to medical devices and smart metering systems.

28nm High Performance "Plus"

"From the very beginning our vision has been to be the technology leader in the foundry industry," said Gregg Bartlett, senior vice president of technology and research and development at GLOBALFOUNDRIES. "We ramped to volume production at the 45/40nm generation well ahead of all foundries and we are poised to maintain this leadership at 32/28nm, with plans to extend this to the 22/20nm node. By leveraging our unique heritage of leading-edge manufacturing and foundry best practices, we will enable our customers to achieve the fastest time-to-market for their next-generation designs."

GLOBALFOUNDRIES introduced a new technology to address the growing market for smart mobile devices and high-performance processors requiring more than 2GHz of processing power. Scheduled to begin risk production in Q4 2011, the 28nm High Performance Plus (HPP) technology provides a performance boost of as much as 10% over the company's current 28nm High Performance (HP) offering, as well as offering optional ultra-low leakage transistors and SRAMs that extend the application range from high performance into the low power range. Furthermore, a rich RF CMOS offering also is available, making this technology an ideal platform for the next generation of high-performance system-on-chip (SoC) designs with a broad addressable market ranging from low-power to high-performance devices.

The new 28nm-HPP technology rounds out GLOBALFOUNDRIES? 28nm offerings, which include the 28nm High Performance (HP) technology targeted at high-performance wired applications and the Super Low Power (SLP) technology for power-sensitive mobile and consumer applications. All 28nm technologies feature GLOBALFOUNDRIES' Gate First approach to HKMG. The company claims that the approach is superior to other 28nm HKMG solutions in both scalability and manufacturability, offering a substantially smaller die size and cost, as well as compatibility with proven design elements and process flows from previous technology nodes.

GLOBALFOUNDRIES is currently accepting designs for its 28nm technologies. Many more product and IP test chips are being validated at GLOBALFOUNDRIES Fab 1 in Dresden, Germany.

The Path to 22/20nm

With risk production set to begin in 2H 2012, GLOBALFOUNDRIES is on its way to delivering 22/20nm technology to its customers for product introduction in 2013. The 20nm technology offerings will come in two varieties: a High Performance (HP) technology designed for wired applications such as servers and media processors, and a 20nm Super Low Power (SLP) technology designed for power-sensitive mobile applications. GLOBALFOUNDRIES also will have access to a 22nm Super High Performance (SHP) technology designed for devices requiring the utmost in performance. The 22/20nm technologies are planned to be a full node shrink from 32/28nm, and will utilize next-generation HKMG technology and strain engineering to enable the area and die cost scaling the industry has come to expect with each technology generation. Test chip shuttles for the company's customers will begin running in Fab 1 in 2H2011.

First 28nm ARM Cortex-A9 Processor Platform with Gate First High-K Metal Gate

GLOBALFOUNDRIES also announced it has taped-out a qualification vehicle based on the ARM Cortex A9 dual processor, an industry first on 28nm High-K Metal Gate (HKMG) technology. This Technology Qualification Vehicle (TQV) will allow GLOBALFOUNDRIES to optimize its 28nm HKMG process designs based on the next-generation dual-core ARM processor.

The jointly developed TQV reached the tapeout stage in August at GLOBALFOUNDRIES Fab 1 in Dresden, Germany and was a part of the strategic collaboration with ARM announced last year. Silicon results are expected back from the fab in late 2010.

"This is a significant milestone on the road to high-volume 28nm manufacturing and technology leadership for next-generation products ranging from smart mobile devices to high-performance wired applications," said Mojy Chian, senior vice president of design enablement at GLOBALFOUNDRIES. "By working closely with ARM in the early stages of technology qualification, we will enable our customers to rapidly bring their ARM Cortex-A9 designs with ARM physical IP to production by setting a new standard for performance and power-efficiency."

The TQV design uses a fully optimized ARM Cortex-A9 physical IP suite, including a full range of standard cell libraries, high-speed cache memory macros for L1 and density-optimized memories in other areas. It is designed to emulate a product-like system-on-chip (SoC) in every way, allowing for maximum frequency analysis and short turnaround time between design cycles. A complete range of Design for Testability (DFT) features enables Silicon-Spice correlation of Cortex-A9 critical paths and bit-mapping of cache memories at gigahertz speed.

The TQV will be based on GLOBALFOUNDRIES 28nm High Performance (HP) technology targeted at high-performance wired applications. The collaboration also will include the 28nm High Performance Plus (HPP) technology for both wired and high-performance mobile applications, and the Super Low Power (SLP) technology for power-sensitive mobile and consumer applications. All technologies feature GLOBALFOUNDRIES? Gate First approach to HKMG.

GLOBALFOUNDRIES and ARM first unveiled the details of their leading-edge SoC platform technology in Q3 2009. The companies project the new chip manufacturing platform will enable a 40 percent increase in computing performance, a 30 percent decrease in power consumption, and a 100 percent increase in standby battery life when compared to the 40nm technology generation.

Development of 90nm Flash Memory Technology

GLOBALFOUNDRIES and Freescale Semiconductor also announced plans to bring a new class of thin film storage (TFS) flash memory products to market on 90nm technology. The technology is expected to be deployed in Freescale microcontrollers (MCUs) targeted for applications ranging from consumer electronics and household appliances to medical devices and smart metering systems.

Freescale?s TFS technology with FlexMemory capability?configurable electrically erasable programmable read-only memory (EEPROM)?is used in both the ColdFire+TM and Kinetis families of 32-bit MCUs. This next generation non-volatile memory (NVM) technology based on the thin film storage concept will be manufactured on GLOBALFOUNDRIES? 90nm technology. Early test chips are already in production at GLOBALFOUNDRIES Fab 7 in Singapore, with technology certification expected to complete in the first half of 2011.

90nm TFS technology differs from other conventional non-volatile memory architectures in that it uses an innovative silicon nano-crystal technology to provide a scalable technology with excellent bit-level reliability, speed, power and area.
 
Home | News | All News | Reviews | Articles | Guides | Download | Expert Area | Forum | Site Info
Site best viewed at 1024x768+ - CDRINFO.COM 1998-2024 - All rights reserved -
Privacy policy - Contact Us .